1
0
Fork 0
mirror of https://github.com/RobotechLille/cdf2018-principal synced 2024-05-17 04:26:00 +02:00
cdf2018-principal/fpga/principal.ucf

69 lines
1.3 KiB
Plaintext
Raw Normal View History

2018-02-27 19:33:58 +01:00
# CLK
NET "CLK" LOC = "P43" | IOSTANDARD = LVTTL ;
NET "CLK" TNM_NET = "CLK";
TIMESPEC "TS_CLK" = PERIOD "CLK" 20 ns HIGH 50 %;
# BTN
NET "BTN" LOC = "P41" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<10>
2018-05-11 15:58:18 +02:00
NET "SCL" LOC = "P85" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<11>
2018-05-11 15:58:18 +02:00
NET "SDA" LOC = "P84" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<12>
NET "LEFTCHA" LOC = "P83" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<13>
NET "LEFTCHB" LOC = "P78" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<14>
NET "RIGHTCHA" LOC = "P77" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<15>
NET "RIGHTCHB" LOC = "P65" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<16>
NET "FRONTTRIGGER" LOC = "P70" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<17>
2018-05-10 10:09:56 +02:00
NET "FRONTLECHO" LOC = "P71" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<18>
NET "BACKTRIGGER" LOC = "P72" | IOSTANDARD = LVTTL ;
2018-02-27 19:33:58 +01:00
2018-05-01 08:45:02 +02:00
# IO<19>
2018-05-10 10:09:56 +02:00
NET "BACKLECHO" LOC = "P73" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<20>
2018-05-09 01:00:40 +02:00
NET "ENAREF" LOC = "P5" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<21>
2018-05-09 01:00:40 +02:00
NET "ENA" LOC = "P4" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<22>
2018-05-11 15:58:18 +02:00
NET "IN1" LOC = "P6" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<23>
2018-05-09 01:00:40 +02:00
NET "IN2" LOC = "P98" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<24>
2018-05-09 01:00:40 +02:00
NET "ENBREF" LOC = "P94" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
# IO<25>
2018-05-09 01:00:40 +02:00
NET "ENB" LOC = "P93" | IOSTANDARD = LVTTL ;
# IO<26>
2018-05-11 15:58:18 +02:00
NET "IN3" LOC = "P90" | IOSTANDARD = LVTTL ;
2018-05-09 01:00:40 +02:00
# IO<27>
NET "IN4" LOC = "P89" | IOSTANDARD = LVTTL ;
2018-05-01 08:45:02 +02:00
2018-05-10 10:09:56 +02:00
# IO<28>
NET "FRONTRECHO" LOC = "P88" | IOSTANDARD = LVTTL ;
# IO<29>
NET "BACKRECHO" LOC = "P86" | IOSTANDARD = LVTTL ;