mirror of
https://github.com/RobotechLille/cdf2018-principal
synced 2025-09-05 09:35:56 +02:00
Bon sens des moteurs
This commit is contained in:
parent
739c818bf0
commit
6817aaf779
15 changed files with 95 additions and 33 deletions
|
@ -85,7 +85,7 @@ architecture Behavioral of Principal is
|
|||
-- PWM clock
|
||||
signal pwmClk : std_logic := '0';
|
||||
signal pwmCounter : integer := 0;
|
||||
constant PWM_DIVIDER : integer := 1024;
|
||||
constant PWM_DIVIDER : integer := 4096;
|
||||
|
||||
-- Motor controller
|
||||
signal enAd : std_logic_vector(7 downto 0);
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue