1
0
Fork 0
mirror of https://github.com/RobotechLille/cdf2018-principal synced 2024-07-03 08:08:15 +02:00
cdf2018-principal/chef/src/premier.c

16 lines
220 B
C

#include "premier.h"
#include "serial.h"
int main()
{
unsigned char g;
configureCA();
sendByteCA('c');
while ((g = readByteCA())) {
printf("%c\n", g);
}
deconfigureCA();
return 0;
}