diff --git a/TP3/TP3.md b/TP3/TP3.md index 4143d85..28dfea1 100644 --- a/TP3/TP3.md +++ b/TP3/TP3.md @@ -3,6 +3,8 @@ Avec ce TP nous allons pouvoir nous familiariser avec le convertisseur analogique-numérique (ou ADC). +**Note :** Bien que le code des programmes réalisés soient inclus dans ce compte-rendu, ils ne servent qu'à donner une vue d'ensemble et à justifier le travail réalisé. + # Prise en main de l'interface ADC (TP30) Les deux programmes permettent d'afficher en continu les valeurs entrées sur ADC0 sur l'afficheur 7-segments situé PortA. Les conversions sont déclenchées à la suite (free running mode). Le premier programme utilise cependant une scrutation et ne se préoccupe pas de savoir si la conversion est terminée. Le deuxième programme déclenche une interruption pour chaque conversion terminée, et l'afficheur n'est mis à jour qu'à ce moment là, ce qui évite de gaspiller des ressources inutilement et évite aussi de récupérer des valeurs fausses dûes à une conversion pas totalement terminée (ce qui dans ce cas ne change quelque chose que pour la première scrutation). @@ -13,7 +15,7 @@ Les deux programmes permettent d'afficher en continu les valeurs entrées sur AD Il est ici question d'utiliser un convertisseur analogique-numérique pour récupérer les valeurs d'une sonde de température pour l'afficheur dans un résultat plus lisible. -On réutilisera la même configuration que pour le deuxième programme du TP30, cependant l'affichage va varier un peu. En effet, on veut transformer la valeur reçue de l'ADC sur [0, 255] en valeur sur [0, 32]. Pour cela, on divise d'abord la valeur par $\frac{255}{32}\approx 8$. Puis on affiche les dizaines sur le premier afficheur et les unités sur le deuxième. +On réutilisera la même configuration que pour le deuxième programme du TP30, cependant l'affichage va varier un peu. En effet, on veut transformer la valeur reçue de l'ADC sur [0, 255] en valeur sur [0, 32]. Pour cela, on divise d'abord la valeur par $\frac{255}{32}\approx 8$. Puis on affiche les dizaines sur le premier afficheur et les unités sur le deuxième. D'un point de vue mathématique, on aurait aussi pu multiplier par $32$ puis diviser par $255$ ou multiplier par $\frac{32}{255}=0,12$, cependant ce n'est pas possible sur ce micro-contrôleur (du moins simplement) car les entiers sont stockés sur 8 bits donc leur valeur ne peuvent dépasser $255$, et les nombres à virgule ne sont pas supportés. !include(TP31.txt lang=avrasmplus) @@ -25,7 +27,7 @@ On réutilise donc le code du TP22 nous permettant d'afficher un nombre différe !include(TP32.txt lang=avrasmplus) -![Visualisation du capteur de distance](capteur_distance.svg) +![Visualisation du capteur de distance](capteur_distance_vect.svg) Le capteur semble décroitre exponentiellement au fur et à mesure qu'on éloigne un obstacle de devant lui. Cependant, on remarque que si l'obstacle se rapproche trop, le capteur considère qu'il s'éloigne. Il a donc un intervalle de fonctionnement assez faible. @@ -33,3 +35,14 @@ Le capteur semble décroitre exponentiellement au fur et à mesure qu'on éloign Nous allons réaliser une application concrète de l'utilisation de l'ADC en construisant un régulateur pour chauffage central. La difficulté sera ici de lire deux entrées d'ADC en alternance : il n'est donc pas possible d'utiliser le free-running mode que nous avons utilisé jusqu'ici. Le micro-contrôleur nous propose nativement de pouvoir comparer électriquement deux entrées d'ADC pour n'avoir à traiter qu'un seul résultat, cependant nous ne pourrons pas l'utiliser dans ce cas là car les deux entrées analogiques ne sont pas sur la même échelle (la première est sur [10, 26]°C, la deuxième est sur [0, 32]°C). +On utilisera donc aucun mode particulier, ni d'interruption, et on activera le bit permettant de déclencher une conversion sur `ADCSRA` après avoir sélectionné l'entre ADC que l'on désire avec `ADCMUX`, puis on scrutera le bit de réception pour continuer la suite du programme quand la conversion a été faite et on peut récupérer la valeur. Pour réduire le temps d'éxecution du programme (sa réactivité ici, étant donné que le programme tourne en boucle), on pourrait lancer une conversion dès que la précédente est terminée et qu'on a récupéré la valeur d'`ACDH` et de réaliser le traitement de la valeur précédente en même temps que la suivante est en train d'être convertie, plutôt que de passer en mode scrutation directement après le lancement de la conversion. + +Un problème que nous avons rencontré est que l'on donnait une valeur à `ADMUX` deux fois avant que la conversion soit terminée (une fois lors de l'initialisation du programme et une autre fois dans la boucle). Cela avait pour effet de provoquer un comportement instable au niveau des conversions sur toute la durée d'éxecution du programme (et non que pour les premières lectures). Comme quoi vouloir s'assurer qu'un composant est bien configuré peut entraîner l'effet inverse. + +Le programme alterne entre la lecture de la consigne et la lecture de la température réelle, qu'il stocke dans les registres `consigne` et `temperature`, respectivement. Pour gérer le mode hors-gel, `consigne` est écrasé avec la température du mode hors-gel si l'entrée correspondante (`PA7`) est active. Il aurait été plus judicieux de vérifier à priori si le mode hors-gel est actif et ainsi éviter une conversion inutile. Une fois les deux valeurs récupérées, on détermine si il faut allumer le chauffage ou l'éteindre, sinon le laisser dans son état actuel. + +!include(TP33.txt lang=avrasmplus) + +On remarque que la régulation se fait assez rapidement, et le chauffage "clignote" assez vite malgré l'hystéresis voulue. Dans un modèle plus fidèle à la réalité, où la température ne varie pas aussi rapidement, on préfèrerait mettre la procédure de mise à jour de l'état du chauffage (et donc par extensiion de la lecture des valeurs de consigne et d'entrée) dans une interruption watchdog à intervalle de temps assez grand (de l'ordre de plusieurs secondes) pour éviter d'utiliser des ressources inutilement, et éviter d'allumer et éteindre le chauffage trop fréquement malgré le phénomène d'hystéresis. + + diff --git a/TP3/TP30b.txt b/TP3/TP30b.txt index 225efa4..658383f 100644 --- a/TP3/TP30b.txt +++ b/TP3/TP30b.txt @@ -1,4 +1,4 @@ -.equ PINA = 0x00 ; définition des adresses des ports +.equ PINA = 0x00 ; définition des adresses des ports .equ DDRA = 0x01 .equ PORTA = 0x02 @@ -17,12 +17,12 @@ .org 0x000 ; Vecteur RESET jmp debut -.org 0x003A ; Interruption conversion ADC terminée +.org 0x003A ; Interruption conversion ADC terminée jmp irqadc .org 0x0080 -codeAff: ; Représentation des chiffres sur l'afficheur 7-segments +codeAff: ; Représentation des chiffres sur l'afficheur 7-segments .db 0b1111110, 0b001100, 0b0110111, 0b0011111, 0b1001101, 0b1011011, 0b1111011, 0b0001110, 0b1111111, 0b1011111 debut: @@ -33,7 +33,7 @@ debut: out SPH, r29 DDRA@IO <- 0xFF ; Port A (afficheur 7-segments) en sortie - ADMUX <- 0b01100000 ; Sélection de l'ADC0 + ADMUX <- 0b01100000 ; Sélection de l'ADC0 ADCSRB <- 0b00000000 ; Free running mode ADCSRA <- 0b11101111 ; Activation des interruptions @@ -43,8 +43,8 @@ boucle: sleep jmp boucle -irqadc: ; Dès qu'une lecture est terminée, l'afficher sur l'afficheur - PORTA@IO <- codeAff@ROM[ADCH/51] ; On passe d'une valeur sur [0, 255] à [0, 5] +irqadc: ; Dès qu'une lecture est terminée, l'afficher sur l'afficheur + PORTA@IO <- codeAff@ROM[ADCH/51] ; On passe d'une valeur sur [0, 255] à [0, 5] reti diff --git a/TP3/TP31.txt b/TP3/TP31.txt index ee3abbb..eaaf9b9 100644 --- a/TP3/TP31.txt +++ b/TP3/TP31.txt @@ -1,4 +1,4 @@ -.equ PINA = 0x00 ; définition des adresses des ports +.equ PINA = 0x00 ; définition des adresses des ports .equ DDRA = 0x01 .equ PORTA = 0x02 .equ PINB = 0x03 @@ -28,7 +28,7 @@ .org 0x0080 -codeAff: ; Représentation des chiffres sur l'afficheur 7-segments +codeAff: ; Représentation des chiffres sur l'afficheur 7-segments .db 0b1111110, 0b001100, 0b0110111, 0b0011111, 0b1001101, 0b1011011, 0b1111011, 0b0001110, 0b1111111, 0b1011111 debut: @@ -40,7 +40,7 @@ debut: DDRA@IO <- 0xFF ; Port A (afficheur 7-segments) en sortie DDRB@IO <- 0xFF ; Port B (afficheur 7-segments) en sortie - ADMUX <- 0b01100000 ; Sélection de l'ADC0 + ADMUX <- 0b01100000 ; Sélection de l'ADC0 ADCSRB <- 0b00000000 ; Free running mode ADCSRA <- 0b11101111 ; Activation des interruptions diff --git a/TP3/TP32.txt b/TP3/TP32.txt index bd223ac..3a9945c 100644 --- a/TP3/TP32.txt +++ b/TP3/TP32.txt @@ -1,4 +1,4 @@ -.equ PINA = 0x00 ; définition des adresses des ports +.equ PINA = 0x00 ; définition des adresses des ports .equ DDRA = 0x01 .equ PORTA = 0x02 .equ PINC = 0x06 @@ -40,7 +40,7 @@ .org 0x0080 -codeAff: ; Représentation des chiffres sur l'afficheur 7-segments +codeAff: ; Représentation des chiffres sur l'afficheur 7-segments .db 0b1111110, 0b001100, 0b0110111, 0b0011111, 0b1001101, 0b1011011, 0b1111011, 0b0001110, 0b1111111, 0b1011111 debut: @@ -54,7 +54,7 @@ debut: DDRA@IO <- 0xFF DDRC@IO <- 0xFF - ADMUX <- 0b01100001 ; Sélection de l'ADC1 + ADMUX <- 0b01100001 ; Sélection de l'ADC1 ADCSRB <- 0b00000000 ; Free-running mode ADCSRA <- 0b11101111 ; Activation des interruptions diff --git a/TP3/TP33.asm b/TP3/TP33.asm index 3db45f2..23b4afd 100644 --- a/TP3/TP33.asm +++ b/TP3/TP33.asm @@ -49,8 +49,9 @@ debut: LDI R16,0xFF OUT DDRB,R16 - ; ADCSRB <- 0b00000000 - LDI R16,0b00000000 + + ; ADCSRB <- 0b01000010 + LDI R16,0b01000010 STS ADCSRB,R16 @@ -58,6 +59,8 @@ debut: boucle: + + ; Déclenchement de le lecture de la consigne ; ADMUX <- 0b01100000 LDI R16,0b01100000 STS ADMUX,R16 @@ -66,7 +69,7 @@ boucle: LDI R16,0b11100111 STS ADCSRA,R16 - ;ADCSRB <- 0b01000010 + attente1: ; si (ADCSRA & 0b00010000) = 0 saut attente1 LDS R16,ADCSRA @@ -86,13 +89,44 @@ eti1: JMP attente1 eti2: - ; r5 <- ADCH - LDS R16,ADCH - MOV R5,R16 - ;ADCSRA <- ADCSRA & 0b11101111 - ;consigne <- ADCH / 8 + 20 - ;si (PORTA@IO & 0b10000000) = 0 alors consigne <- 12 + ; Lecture de la consigne + ; consigne <- ADCH / 8 + 20 + LDS R16,ADCH + LDI R17,8 + SER R18 +eti3: + INC R18 + SUB R16,R17 + BRCC eti3 + MOV R16,R18 + LDI R17,20 + ADD R16,R17 + STS consigne,R16 + + + ; Mode hors-gel + ; si (PINA@IO & 0b10000000) == 0 alors consigne <- 12 + IN R16,PINA + ANDI R16,0b10000000 + PUSH R16 + LDI R16,0 + POP R17 + CP R17,R16 + BREQ eti4 + CLR R16 + RJMP eti5 +eti4: + LDI R16,0x01 +eti5: + TST R16 + BREQ eti6 + LDI R16,12 + STS consigne,R16 +eti6: + + + ; Déclenchement de le lecture de la température ; ADMUX <- 0b01100001 LDI R16,0b01100001 STS ADMUX,R16 @@ -102,7 +136,6 @@ eti2: STS ADCSRA,R16 - ;ADCSRB <- 0b01000010 attente2: ; si (ADCSRA & 0b00010000) = 0 saut attente2 LDS R16,ADCSRA @@ -111,40 +144,119 @@ attente2: LDI R16,0 POP R17 CP R17,R16 - BREQ eti3 + BREQ eti7 CLR R16 - RJMP eti4 -eti3: + RJMP eti8 +eti7: LDI R16,0x01 -eti4: +eti8: TST R16 - BREQ eti5 + BREQ eti9 JMP attente2 -eti5: +eti9: - ; r6 <- ADCH + + ; temp <- ADCH / 4 LDS R16,ADCH - MOV R6,R16 + LDI R17,4 + SER R18 +eti10: + INC R18 + SUB R16,R17 + BRCC eti10 + MOV R16,R18 + STS temp,R16 + + + ; Affichage + ; PORTA@IO <- codeAff@ROM[temp/20] + LDS R16,temp + LDI R17,20 + SER R18 +eti11: + INC R18 + SUB R16,R17 + BRCC eti11 + MOV R16,R18 + LDI R30,low(codeAff<<1) + LDI R31,high(codeAff<<1) + CLR R17 + ADD R30,R16 + ADC R31,R17 + LPM R16,Z + OUT PORTA,R16 + + ; PORTB@IO <- codeAff@ROM[temp-(temp/20)*20] + LDS R16,temp + PUSH R16 + LDS R16,temp + LDI R17,20 + SER R18 +eti12: + INC R18 + SUB R16,R17 + BRCC eti12 + MOV R16,R18 + LDI R17,20 + MUL R16,R17 + MOV R16,R0 + MOV R17,R16 + POP R16 + SUB R16,R17 + LDI R30,low(codeAff<<1) + LDI R31,high(codeAff<<1) + CLR R17 + ADD R30,R16 + ADC R31,R17 + LPM R16,Z + OUT PORTB,R16 + + + ; Gestion du radiateur + ; si consigne - 1 > temp alors PORTB@IO <- PORTB@IO | 0b10000000 + LDS R16,consigne + LDI R17,1 + SUB R16,R17 + PUSH R16 + LDS R16,temp + POP R17 + CP R17,R16 + BREQ eti13 + BRLO eti13 + LDI R16,0x01 + RJMP eti14 +eti13: + CLR R16 +eti14: + TST R16 + BREQ eti15 + IN R16,PORTB + ORI R16,0b10000000 + OUT PORTB,R16 +eti15: + + ; si consigne + 1 < temp alors PORTB@IO <- PORTB@IO & 0b01111111 + LDS R16,consigne + LDI R17,1 + ADD R16,R17 + PUSH R16 + LDS R16,temp + POP R17 + CP R17,R16 + BRLO eti16 + CLR R16 + RJMP eti17 +eti16: + LDI R16,0x01 +eti17: + TST R16 + BREQ eti18 + IN R16,PORTB + ANDI R16,0b01111111 + OUT PORTB,R16 +eti18: - ;ADCSRA <- ADCSRA & 0b11101111 - ;temp <- ADCH / 4 - ;PORTA@IO <- codeAff@ROM[temp/20] - ;PORTB@IO <- codeAff@ROM[temp-(temp/20)*20] - ;si consigne - 1 > temp alors PORTB@IO <- PORTB@IO | 0b10000000 - ;si consigne + 1 < temp alors PORTB@IO <- PORTB@IO & 0b01111111 - ;PORTB@IO <- PORTB@IO | 0b10000000 jmp boucle - - - - - - - - - - - diff --git a/TP3/TP33.txt b/TP3/TP33.txt index b00c722..2a290c5 100644 --- a/TP3/TP33.txt +++ b/TP3/TP33.txt @@ -1,4 +1,4 @@ -.equ PINA = 0x00 ; définition des adresses des ports +.equ PINA = 0x00 ; définition des adresses des ports .equ DDRA = 0x01 .equ PORTA = 0x02 .equ PINB = 0x03 @@ -26,15 +26,11 @@ .org 0x0080 -codeAff: - -.db 0b1111110, 0b001100 -.db 0b0110111, 0b0011111 -.db 0b1001101, 0b1011011 -.db 0b1111011, 0b0001110 -.db 0b1111111, 0b1011111 +codeAff: ; Représentation des chiffres sur l'afficheur 7-segments + .db 0b1111110, 0b001100, 0b0110111, 0b0011111, 0b1001101, 0b1011011, 0b1111011, 0b0001110, 0b1111111, 0b1011111 debut: + ; Initialisation de la pile ldi r28, low(RAMEND) ldi r29, high(RAMEND) @@ -43,46 +39,41 @@ debut: DDRA@IO <- 0b01111111 DDRB@IO <- 0xFF - ADCSRB <- 0b00000000 + + ADCSRB <- 0b01000010 boucle: + + ; Déclenchement de le lecture de la consigne ADMUX <- 0b01100000 - ADCSRA <- 0b11100111 - ;ADCSRB <- 0b01000010 + attente1: si (ADCSRA & 0b00010000) = 0 saut attente1 - r5 <- ADCH - ;ADCSRA <- ADCSRA & 0b11101111 - ;consigne <- ADCH / 8 + 20 - ;si (PORTA@IO & 0b10000000) = 0 alors consigne <- 12 - ADMUX <- 0b01100001 - ADCSRA <- 0b11100111 - ;ADCSRB <- 0b01000010 + ; Lecture de la consigne + consigne <- ADCH / 8 + 20 + + ; Mode hors-gel + si (PINA@IO & 0b10000000) == 0 alors consigne <- 12 + + ; Déclenchement de la lecture de la température + ADMUX <- 0b01100001 + attente2: si (ADCSRA & 0b00010000) = 0 saut attente2 - r6 <- ADCH - ;ADCSRA <- ADCSRA & 0b11101111 - ;temp <- ADCH / 4 - ;PORTA@IO <- codeAff@ROM[temp/20] - ;PORTB@IO <- codeAff@ROM[temp-(temp/20)*20] - ;si consigne - 1 > temp alors PORTB@IO <- PORTB@IO | 0b10000000 - ;si consigne + 1 < temp alors PORTB@IO <- PORTB@IO & 0b01111111 - ;PORTB@IO <- PORTB@IO | 0b10000000 + + temp <- ADCH / 4 + + ; Affichage + PORTA@IO <- codeAff@ROM[temp/20] + PORTB@IO <- codeAff@ROM[temp-(temp/20)*20] + + ; Gestion du radiateur + si consigne - 1 > temp alors PORTB@IO <- PORTB@IO | 0b10000000 + si consigne + 1 < temp alors PORTB@IO <- PORTB@IO & 0b01111111 jmp boucle - - - - - - - - - - - diff --git a/TP3/capteur_distance.svg b/TP3/capteur_distance.svg index 4221ae7..2a919a6 100644 --- a/TP3/capteur_distance.svg +++ b/TP3/capteur_distance.svg @@ -57,7 +57,7 @@ inkscape:pageopacity="0.0" inkscape:pageshadow="2" inkscape:zoom="0.98994949" - inkscape:cx="269.36956" + inkscape:cx="40.064932" inkscape:cy="187.87975" inkscape:document-units="mm" inkscape:current-layer="layer1" @@ -97,14 +97,14 @@ xml:space="preserve" id="flowRoot4801" style="font-style:normal;font-weight:normal;font-size:15px;line-height:25px;font-family:Sans;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" - transform="matrix(0.26458333,0,0,0.26458333,21.258142,1.1339286)">Distance Distance (unité arbitraire) \ No newline at end of file diff --git a/template.html b/template.html index 643f048..fc17fca 100644 --- a/template.html +++ b/template.html @@ -14,6 +14,14 @@ } code { white-space: pre-wrap; + background: rgba(0, 0, 0, 0.1); + border-radius: 5px; + padding: 1px; + } + pre code { + border: solid 1px rgba(0, 0, 0, 0.5); + display: block; + padding: 2px; } h1, h2, h3 { text-decoration: underline;