1
0
Fork 0
mirror of https://github.com/RobotechLille/cdf2018-principal synced 2024-06-01 10:35:02 +02:00
cdf2018-principal/fpga/project.cfg

10 lines
255 B
INI
Raw Normal View History

2018-02-07 17:57:01 +01:00
PROJECT = Principal
TARGET_PART = xc3s200a-vq100
XILINX = /opt/Xilinx/14.7/ISE_DS/ISE
PROGRAMMER = mercpcl
TOPLEVEL = Principal
# Prod
2018-05-01 08:45:02 +02:00
VHDSOURCE = $(TOPLEVEL).vhd communication.vhd uart.vhd hedm.vhd hcsr04.vhd fir.vhd pwm.vhd
2018-02-27 19:33:58 +01:00
CONSTRAINTS = principal.ucf