1
0
Fork 0
mirror of https://github.com/RobotechLille/cdf2018-principal synced 2024-05-19 21:29:38 +02:00
cdf2018-principal/fpga/project.cfg
2018-02-28 12:50:15 +01:00

10 lines
247 B
INI

PROJECT = Principal
TARGET_PART = xc3s200a-vq100
XILINX = /opt/Xilinx/14.7/ISE_DS/ISE
PROGRAMMER = mercpcl
TOPLEVEL = Principal
# Prod
VHDSOURCE = $(TOPLEVEL).vhd communication.vhd uart.vhd hedm.vhd hcsr04.vhd fir.vhd
CONSTRAINTS = principal.ucf